Hollosi Information eXchange /HIX/
HIX TIPP 2455
Copyright (C) HIX
1997-04-17
Új cikk beküldése (a cikk tartalma az író felelőssége)
Megrendelés Lemondás
1 Allast keresek (mind)  20 sor     (cikkei)
2 fekete feher nagyitas (mind)  13 sor     (cikkei)
3 Keresek MAC-PC COM port adaptert (mind)  12 sor     (cikkei)
4 Gorogorszagi tippek (mind)  5 sor     (cikkei)
5 Re: Mi a pontos ido Mo-on... (mind)  23 sor     (cikkei)
6 Re: loves... (mind)  14 sor     (cikkei)
7 Csabesz : Magyarorszagon a brazilok szama elhanyagolhat (mind)  9 sor     (cikkei)
8 [HIRDETES] Ford Fiesta eladó (mind)  12 sor     (cikkei)
9 Uszonap (mind)  13 sor     (cikkei)
10 hitelkartya (mind)  14 sor     (cikkei)
11 EMBEROLOSDI???? Hu de erdekes...! (mind)  56 sor     (cikkei)
12 Re: bankkartyaszammasolas (mind)  13 sor     (cikkei)
13 Sziasztok, (mind)  8 sor     (cikkei)
14 Elado MODEM, es SZOTAR cd-n!!! (mind)  11 sor     (cikkei)
15 Re: angol paciens (mind)  13 sor     (cikkei)
16 RE: Feltalalo (mind)  4 sor     (cikkei)
17 RACKEVE (mind)  10 sor     (cikkei)
18 Elestolteny/vaktolteny (mind)  8 sor     (cikkei)
19 elso noi puspok Svedorszagban (mind)  10 sor     (cikkei)
20 Re: Fekete-feher nagyitas (mind)  5 sor     (cikkei)
21 Re: Alairasok kiszurese - javaslat Jozsinak (mind)  21 sor     (cikkei)
22 Bankkkkkartya (mind)  23 sor     (cikkei)
23 Re: Kesik a Fold ? (mind)  23 sor     (cikkei)
24 Keresem Kajtar Marton: Valtozatok negy elemre cimu szak (mind)  14 sor     (cikkei)
25 Re: mibol van a surf-ruha? (mind)  4 sor     (cikkei)
26 Re: bankkartya+hamisitas (mind)  48 sor     (cikkei)
27 Re: Kesik a Fold ? (mind)  9 sor     (cikkei)
28 Re: Urhajozasi vilagnap (mind)  11 sor     (cikkei)
29 Re: loves (mind)  9 sor     (cikkei)
30 Az uj extraszuperultra etwas (mind)  31 sor     (cikkei)
31 Hozzaszolas (mind)  82 sor     (cikkei)
32 Re: Vakuumenergia (mind)  20 sor     (cikkei)
33 Mi a kulonbseg... (mind)  15 sor     (cikkei)
34 maganvelemeny Toth Andras kerdoivevel kapcsolatban (mind)  50 sor     (cikkei)
35 Karaoke szoftvert keresek (mind)  7 sor     (cikkei)
36 Kapcsolatom a Postabankkal... (mind)  45 sor     (cikkei)
37 Bt es otvenezer (mind)  7 sor     (cikkei)
38 Re: *beton*vakolat* #2454 - I (mind)  87 sor     (cikkei)
39 [HIRDETES] Konyvet keresek!!! (mind)  10 sor     (cikkei)
40 sakk (mind)  1 sor     (cikkei)
41 likviditas (mind)  9 sor     (cikkei)
42 Koszonet (mind)  6 sor     (cikkei)
43 Re: bankkartya+hamisitas (mind)  40 sor     (cikkei)
44 Re: Mi a pontos ido Magyarorszagon? (mind)  43 sor     (cikkei)
45 Re:Feltalalo (mind)  5 sor     (cikkei)
46 Re: BT vagy vallakozo (mind)  16 sor     (cikkei)
47 Koszi a segitseget BT ugyben (mind)  6 sor     (cikkei)
48 Leguan (hullo) ki mit tud :-) (mind)  25 sor     (cikkei)
49 Vallalkozo (mind)  17 sor     (cikkei)
50 kishajo-vizsga (mind)  11 sor     (cikkei)
51 Spanyol szallodak (mind)  19 sor     (cikkei)
52 Elemek (mind)  14 sor     (cikkei)
53 Aktok (mind)  6 sor     (cikkei)
54 Kopirajt (mind)  28 sor     (cikkei)
55 HIX WWW problema (mind)  20 sor     (cikkei)
56 IMAGINE (mind)  13 sor     (cikkei)
57 AMWAY (mind)  21 sor     (cikkei)
58 Re: buvarruha (mind)  19 sor     (cikkei)
59 f-f nagyitas (mind)  7 sor     (cikkei)
60 [HIRDETES] keresek: meg-nem-telekocsikat juniusra (mind)  7 sor     (cikkei)
61 [HIRDETES] Egy kis jatek (mind)  21 sor     (cikkei)
62 [HIRDETES] Ford Fiesta eladó (mind)  25 sor     (cikkei)
63 [HIRDETES] Elado 15"-os cSVGA digitalis monitor! (mind)  16 sor     (cikkei)
64 [HIRDETES] Babay sitter-t keresunk (mind)  6 sor     (cikkei)
65 [HIRDETES] 486DX100 gep elado (mind)  16 sor     (cikkei)
66 [HIRDETES] HIRDETES - Lakast keresek (mind)  10 sor     (cikkei)
67 [HIRDETES] Ford Skorpio elado !!! (mind)  19 sor     (cikkei)
68 [HIRDETES] Rorur mire hasznosithato? -vagy elado... (mind)  29 sor     (cikkei)
69 [HIRDETES] ALLAS a CEU-ban (mind)  30 sor     (cikkei)
70 [HIRDETES] 386dx40 elado (mind)  6 sor     (cikkei)
71 [HIRDETES] Elado winyo es proci (mind)  17 sor     (cikkei)

+ - Allast keresek (mind) VÁLASZ  Feladó: (cikkei)

Tisztelt Holgyem / Uram!

 Polihisztor vagyok, es emellett meg szamos mas tudomanyban is jartas:
 az On embere, ha egy, az osszefuggeseket felismerni kepes, szeles
 latokoru, kreativ munkatarsat keres - intuitiv otletembert es
 logikus, elemzo elmet egy szemelyben.

 (Hogy mi koze Nietzschenek es a kvantummechanikanak az On problemajahoz?
 Valoszinuleg semmi. De roluk beszelgetve eszunkbe juthat mondjuk egy
 antik mitosz, amely magaban rejti a megoldast. Vagy otletet kap ahhoz,
 hogy aznap mit vacsorazzon.)

                  Ne kimeljen, irjon:

                                         Pisza'r La'szlo'
                                         
                                         313-8514

 --------
 Addig is egy jotanacs:  Mig leng a lanc, meleg az uloke.
+ - fekete feher nagyitas (mind) VÁLASZ  Feladó: (cikkei)

Egy viszonylag olcso es megbizhato hely a Semmelweis utcaban van, az
elso utca jobbra az Astoriatol az Erzsebet hid fele, majdnem az utca
vegen. Lacinak hivjak az urget, es nagyon kedves.


 
   ,
G a b o r

> --------------------------------------------------------------------
|        Gabor Csanyi         | Photography Editor -- The Tech     |
| MIT,  Department of Physics | MIT's Oldest and Largest Newspaper |
> --------------------------------------------------------------------
+ - Keresek MAC-PC COM port adaptert (mind) VÁLASZ  Feladó: (cikkei)

Hello Kedves Tippelok!
Egy baratomnak szeretnek segitseget kerni.
A kovetkezore lenne szukseg: Olyan atalakitot keres, ami a Macintosh
Com-portjaba dugando kabelt kepesse teszi a PC 25 vagy 9 pines
Comportjaban mukodni.
Egyszeruen szolva MAC-PC Com port atalakitot vagy esetleg kapcsolasi
semat keresek, hogyan mit mivel kell osszekotni, hogy a PC is
elfogadja a device-t.
Elore is megkoszonve minden valaszt

The Truth is out There!

+ - Gorogorszagi tippek (mind) VÁLASZ  Feladó: (cikkei)

Az nem letezik, hogy pont Euboa szigeten ne lett volna
TIPPelo! Chalkis kozeleben keresek apartmant 2 ember
reszere...

koszonom, Zsolt
+ - Re: Mi a pontos ido Mo-on... (mind) VÁLASZ  Feladó: (cikkei)

Kedves TIPP-elok!

Engem is erdekel a tema sok ev ota.
A jelenseget en is ismerem, es egyaltalan nem ertem,
hogy az illeto "hatosagok"-nak nincs eloirva, hogy
a pontos idot "kozpontilag" - gondolom, a Meresugyi
Hivatal altal adott - adatok alapjan szolgaltassak.
A DCF-77-et, ill. mas (angliai, stb.) normalidot su-
garzo adoallomast venni kepes (nehany ezer Ft-os)
ora altal jelzett idot nemzetkozi jogi okok (jogdij?)
miatt "hivatalosan" valoszinuleg nem sugarozhatjak
tovabb. (Nem ertek hozza, ez csak a velemenyem.)
De azt biztosan tudom, hogy - amint fentebb irtam -
valamelyik kutatointezet, vagy hivatal nalunk is
szolgaltatja a pontos idot, s ezert erthetetlen a
tomegkommunikacios eszkozokon eszlelheto elteres.
Vajon milyen orak lehetnek ezek, amelyeket a kep-
ernyon latunk, radioban hallunk, stb.?

Udv: Karoly 
-- 
Karoly Kovacs
mailto:
+ - Re: loves... (mind) VÁLASZ  Feladó: (cikkei)

Kedves Pyr!

Idezlek:
> s ha meg AKARJA olni a masikat...
(a kiemeles tolem!)

Ugye ezt csak viccbol irtad? (Bar meg annak is eleg rossz!)
De ha igen, akkor nekem egyaltalan nincs humorerzekem.
(Eddig - 42 evig - ugy gondoltam, hogy van...)

Karoly
-- 
Karoly Kovacs
mailto:
+ - Csabesz : Magyarorszagon a brazilok szama elhanyagolhat (mind) VÁLASZ  Feladó: (cikkei)

Talan a Flamenco Hotel -ben vannak meg a legnagyobb surusegben.
          (1997.04.17 -es adat).
          Ellenben eleg sok magyar van mindenhol! 
          Vagy nem erre gondoltal? 

Andras  : Tippem van csak : T.A. Edison


 Udv: Re
+ - [HIRDETES] Ford Fiesta eladó (mind) VÁLASZ  Feladó: (cikkei)

Hali!

        Van egy eladó Ford Fiestám 1.1i, katalizátorral, 50.000 km-el, 
szervízkönyvvel,
metálzöld fényezéssel, elektromos ablakemelőkkel, központi zárral, riasztóval,
levehető előlapu magnóval, napfénytetővel.

        3.5 éves múlt. irányár: 950.000 Ft.

        Érdeklődni: Vallent Konstantin
                Napközben: 06/23/335-129
                E-mail: 
+ - Uszonap (mind) VÁLASZ  Feladó: (cikkei)

Az iden 6. alkalommal rendezzuk meg a soporni 24 oras non-stop uszonapot.
300 indulo es 24 ora a medenceben.
Folyamatosan frissitett eredmenyek es elo hangulatjelentesek a medence
partjarol.
A startpisztoly 19.-in szombaton 12 orakor dordul el. A befuto 20.-an 12
orakor lesz.
Minderrol a http://www.syneco.hu/rendezveny/uszonap cimen adunk hirt.
Ugorjatok velunk a vizbe es kovessetek a verseny allasat !
A medence partjarol  cimen kaphattok kozvetlen informaciot.
"Az uszas az nem sport. Uszni azert uszik az ember, hogy ne fulladjon meg"
Woody Allen

En meg ZaZa voltam !
+ - hitelkartya (mind) VÁLASZ  Feladó: (cikkei)

> Felado :  [Hungary]
> Temakor: Re: bankkartya+hamisitas ( 19 sor )

 
 barmit...tehat ha egy ovatlan pillanatban meglesed valaki kartyajat es eleg
 ugyes vagy hogy megjegyezz 16 + 4 szamot akkor tied a vilag...(ez most nem
 tipp akart lenni)

sokat ugysem rendelhetnel erte: a kiszallitasi cimnek ui. egyezni kell a
kartyatulaj cimevel ( ahova a havi egyenleget kuldik ), illetve ha nem egyezik,
akkor azt is meg kell adni. Az on-line mailorder cegek pedig kulonosen
vigyaznak, mert nem szabad egy cegnek tul sokat tevednie

zoli
+ - EMBEROLOSDI???? Hu de erdekes...! (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok!

Mar eddig is ne'mi keseruseggel olvastam, hogy milyen sokan es
milyen sokaig'jatszanak' a buntetes nelkuli emberoles modszereivel,
nem gondolva arra sem, hogy ki mindenki (gyerekek is!!) olvassak a Tipp-et.
A mai szamban megjelent cikk azonban minden eddigin tultesz es szolasra
kesztet!

Az iras szerzoje:
                           [Hungary]
(A nevet nem irta ala.)

Megragado reszletesseggel ad tanacsokat profi EMBEROLESHEZ, hogy kell
valakinek kihasznalni fegyver adta elonyet, ha el akarja kerulni a buntetest.

Nemcsak technikai, de taktikai 'tanacsokban is bovelkedo irasabol
egyfajta gatlastalan nyugalom arad, erzodik, hogy szerzoje TUDJA
mit beszel es ez csak noveli erkolcsi felelosseget.

pl.:

> 8.) Ha az aldozat fegyvertelen, akkor egeszen kozelrol kell leloni,
> hogy lehessen tamadasi helyzetre hivatkozni. ( El akarta venni a
 ...
> veszelyhelyzetben az eloirt felszolitgatosdi elhagyhato. Tehat ha
> meglatsz valakit, ketszer toltesz, (vakzizi ki) bevarod 5 meterre,
> elougrasz, es durr!

 ...es igy tovabb.

 Most meg az is megtudhatja mindezt, aki eddig nem tudta, sot nem is
foglalkozott vele, hat kerem ilyen egyszeru!!!

Nem ertem, hogy senki sem botrankozik mar meg ezen, csak en lennek ilyen
'regimodi'? Vagy aki szolna meg a regiek kozul mar mind elhagyta a Tipp-et?
Nem csodalnam.

Az iras szuggesztivitasat csak nemileg enyhiti a par utolso sor, mely talan
mersekelt elhatarolodasul is szolgal a szerzonek:

> Sajnos, az itt leirtak, barmennyire is cinikusan hangozzanak is, igy
> igazak. Magyarul, ha valakinek fegyver kerul a kezebe, s valaki
> massal tanuk nelkul egyedul marad, s ha meg akarja olni a masikat,
> Isten ovja azt!

Azonban KEREK MINDENKIT szepen, hogy ne tanitsunk ilyeneket!
Ne adjunk a kezebe minden olvasonak receptet a nagyneni megmergezesere, vagy
lelovesere, mert ROSSZAT TESZUNK ezzel!

Ne beszeljunk eroszakrol, mert az csak eroszakot szul!

Emeljuk a szinvonalat, mert kulonben csak a horror marad meg az aprohirdetesek!

Udvozlettel!

Nemeth Zsolt
+ - Re: bankkartyaszammasolas (mind) VÁLASZ  Feladó: (cikkei)

> Epp azt irjak itt elotted, hogy online! Ami pl jelenthet internetet is, ahol
> eleg ha tudod a kartya szamat + lejarati idejet es maris rendelhetsz szinte
> barmit...tehat ha egy ovatlan pillanatban meglesed valaki kartyajat es eleg
> ugyes vagy hogy megjegyezz 16 + 4 szamot akkor tied a vilag...(ez most nem

Hat azert ez tulzas szerintem.  Mert ha csak lelested a szamot, es utana
pl netes rendelesben akarod hasznalni, akkor ez valoszinuleg olyan
rendeles, ahol egy cimet is meg kell adnod, ahova aztan kiszallitjak az
arut.  Es igy mar kapasbol nem nyomtalan az ugy.  Idovel a tulajdonos ra
fog jonni, hogy valami nem stimmel, es akkor eleg konnyu lesz
visszakeresni teged.

:-D (Eszter)
+ - Sziasztok, (mind) VÁLASZ  Feladó: (cikkei)

E. irja a mai szamban:

>visszaallitjak a greenichi idot ? Talan csak kacsa az egesz, vagy komoly a
>dolog ? (mert ha komoly, mar most fel kell keszulnom, hogy megvaltozik a
>bioritmusom :))))

Megnyugtatasul,  a bioritmusod fuggetlen barmilyen idotol. Viccen kivul.
Udv janos
+ - Elado MODEM, es SZOTAR cd-n!!! (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok!

Akit kozulletek erdekelne: Van egy eladasra szant 14.4 modemmem
(voice!), tovabba egy Angol-Magyar illetve Magyar-Angol
Hangosszotaram (szavakat ki is ejti, nagy szotar erteku
szoanyag....stb) !!! Mind a kettot igen olcson adom!!!!

Udv,
 Magus

Az erdeklodok erre a cimre irjanak please: 
+ - Re: angol paciens (mind) VÁLASZ  Feladó: (cikkei)

W. kerte:
Szoval,ha valaki meg tudna nekem mondani hol tudnam megnezni,kerem irjon
nekem egy magan e-mailt.

Itt Homburgban naponta delutan fel hatkor megnezheto. Tenyleg.  Szivesen latlak
.
Udv Janos
*********************************************************
Janos Juhasz M.D.			Tel: +49(6841)163635
Universitatskliniken des Saarlandes	Fax: +49(6841)163602
Innere Medizin V			E-mail: 
66421 Homburg/Saar
*********************************************************
+ - RE: Feltalalo (mind) VÁLASZ  Feladó: (cikkei)

sziasztok.

szerintem (legalabbis az utobbi evekben) az IBM corp. :-(
akos
+ - RACKEVE (mind) VÁLASZ  Feladó: (cikkei)

Kedves Mindenki!

Mit erdemes megnezni Ra'ckeve'n illetve a kornyeken par nap alatt?
Van valaki a vonalban onnan?

A valaszokat sajat cimemre kernem es elore is koszonom.

Udvozlettel

Nemeth Zsolt
+ - Elestolteny/vaktolteny (mind) VÁLASZ  Feladó: (cikkei)

Pyro fegyverhasznalati trukkjeirol valahogy Kubrick
Elestolteny cimu filmje ugrott be:
"This is my rifle, this is my gun,
 This is for fighting, this is for fun."
Aki latta, gondolom emlekszik.
Aki nem latta, az nezze meg.

Pupak
+ - elso noi puspok Svedorszagban (mind) VÁLASZ  Feladó: (cikkei)

All a bal megint. Jollehet a sved allami evangelikus egyhazban 34 eve 
vannak noi papok, eddig sosem sikerult nonek puspoki hivatalba kerulni.
A mai TV hirado szamol be rola, hogy Kristina Odenberg szemelyeben
megvalasztottak az elso noi puspokot Lund egyhazmegyeben. Persze az
ellenzok nem hagyjak annyiban, alternativ szinodust alapitottak,
szervezkednek, szo van arrol, hogy a noi puspok altal felszentelt papokat 
az ellenzok nem ismerik el ujboli felszenteles nelkul. Amig mas teren
a nok tenyleg gyakorlatilag teljes egyenjogusagot elveznek, az egyhazi
tiszsegekben valo egyenloseg nehezen emesztheto valami.
Viking
+ - Re: Fekete-feher nagyitas (mind) VÁLASZ  Feladó: (cikkei)

 wrote:
>Tud valaki Budapesten olyan boltot, ahol fekete-feher negativrol csinalnak
>normal meretu nagyitast viszonylag olcson ???
A Schonherzben nincs fotos szakkor? Azzal jarnal szerintem a legjobban.
Ha jol tudom, boltot mar eleg nehez talalni...          -Th(A)n-
+ - Re: Alairasok kiszurese - javaslat Jozsinak (mind) VÁLASZ  Feladó: (cikkei)

 wrote:
>Ha egy alairas egyszer megjelent, akkor legkozelebb egybol torlodjon ki.
>Igy esetleg ra lehetne venni egyes vihancolodokat, hogy ne biggyesszek
>minduntalan a cikkuk aljara azokat a csodas (neha tenyleg otletes)
>micsodakat.
 .sig rulez!

>Akarmelyik HIX-ujsagra valo feliratkozaskor, nem lehetne a visszajelzesben
>elkuldeni a Netiquette magyar valtozatat? Sok hasznos dolog van benne!
Mikor utoljara neztem az angol verziot, 4 sor .siget engedett. Ha jol
emlekszem, elotte meg 6-8 volt... hm, hm... Na mindegy, tessek, meg lehet
szamolni az uj netiquette szerint is: (a "--" is udvariassag... abbol derul
ki, hogy itt kezdodik a .sig, ertelmes newsreaderek maguktol odateszik
meg ertelmesebbek ez alapjan szinezik is -:-)

p.s. itt ugyis van sorlimit is, tehat a .siggel mindenki magat korlatozza.
-- 
-Th(A)n------------|"Eine Revolution fur den Frieden und die Freiheit      |
    Toth Andras    | Eine Revolution fur die (A)narchie                    |
   (Andrew Toth)   | Einen Kampf der Unterdruckung, einen Kampf dem System |
 | Einen Kampf fur die (A)narchie"  -- WIZO: Kein Gerede |
+ - Bankkkkkartya (mind) VÁLASZ  Feladó: (cikkei)

Hali!

 Koszonom a sok-sok levelet, foleg amiben megvedtetek. A kis- ill. 
nagykartyakat nem en talaltam ki, nem is az OTP, hanem meg kulfoldon 
is igy hagynalja a nepes tomeg. Valoszinuleg a VISA es a EUROPAY 
hatasara!!!
 A kartyainfokat nem az OTP kartyairol irtam, hanem az elobb fent 
emlitett ket ceg kartyairol.
 Jomagam pont OTP felhasznalo vagyok, meg is vagyok elegedve veluk, 
bar a kezdetek kezdeten volt nehany gordulekenysegi hiba, de ezt 
azota kikuszoboltek. Ennyi penzert ilyen szolgaltatast meg nem lattam 
egy banknal sem, pedig mar nehanyat megvizsgaltam. De nem allitom, 
hogy ez a legjobb, csak azt mondhatom, hogy nekem bevalt.

                    Udv,
                        FABRIK

mailto:
*********************************************
*   O     Sent by FABRIK (Gabor FABIAN)     *
*  /|\                * * *                 *
*  / \   E-mail:    *
*********************************************
+ - Re: Kesik a Fold ? (mind) VÁLASZ  Feladó: (cikkei)

>Felado :  [Austria]

>Mit tudtok arrol, hogy jun. 30-an a Fold lassulasa miatt 1 egesz masodperccel
>visszaallitjak a greenichi idot ? Talan csak kacsa az egesz, vagy komoly a
>dolog ? (mert ha komoly, mar most fel kell keszulnom, hogy megvaltozik a
>bioritmusom :))))

Az teny, hogy az orat bizonyos idokozonkent allitani kell 1 
masodperccel (ha jol emlekszem par evenkent), ez azonban nem a Fold 
lassulasa miatt van. Hasonlo okokbol eredeztetheto, mint a 
szokonap (a neve is: szokomasodperc), vagyis abbol, hogy az emberi 
idomeres alapegysegenek nem egesz szamu tobbszorose sem a Fold 
forgasi, sem a keringesi ideje.

Ha figyelembe vesszuk, hogy miota meri az ember az idot a mait 
megkozelito pontossaggal, es feltesszuk, hogy azota mar egy 
masodpercet lassult a Fold forgasa (vagyis allitani kell miatta az 
orat), akkor arra az eredmenyre juthatunk, hogy max. 100 000 ev alatt 
megallna.

 Udv:
               Toth Gabor
               
+ - Keresem Kajtar Marton: Valtozatok negy elemre cimu szak (mind) VÁLASZ  Feladó: (cikkei)

Megvetel celjabol keresem Kajtar Marton: Valtozatok negy elemre I-II; 
Szerves Kemia cimu konyvet, ami 1984 -ben jelent meg a Gondolat 
Kiadonal. Az ajanlatokat magan e-mailben varom. 
Udvozlettel

Jost Norbert........................................

Jost Norbert 
II. eves PhD hallgato
SZOTE Pharmacologiai Intezet
6720 Szeged, Dom ter 12
Tel: 36-62-454000/2629, fax:36-62-321107
E-mail: 
Levelcim: H-6701 Szeged, Pf. 115
+ - Re: mibol van a surf-ruha? (mind) VÁLASZ  Feladó: (cikkei)

>A surf ruha es a konnyubuvarok ruhaja egy NEOPREN nevu anyagbol keszul.
>Ez egy kulso es egy belso - ujabban titaniumtartalmu - reteg kozott egy
                                     ????????????????
ez meg mire jo?
+ - Re: bankkartya+hamisitas (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Valaki par napja panaszkodott, hogy valamelyik benzinkutnal egy kisse
lenyultak a bankszamlajat. Aztan tobben kezdtek bombazni a tippet a kulonfele
otleteikkel, hogy hamisitas meg bennfentes kell hozza. Nos nem, A dolog nagyon 
egyszeru:

A benzinkutaknal alkalmazott penztargepekhez nem kell a bankkartya, sem az o
magnescsikja ahhoz, hogy vasarolni lehessen vele. Elegendo csupan a kartya
tipusa es szama. Az ok nagyon egyszeru, mindjar kifejtem. Csak annyit elore
bocsatanek: az ok nem biztos, csak ezt okoskodtam ki magamban, mikozben
fizettem a kartyammal, amit valamilyen ok miatt nem tudott a beolvaso olvasni
(kosz, serult kartya, stb...) es a kutas szepen beutott egy kodot a gepbe, ami 
hatasara a monitoron megjelent a kovetkezo felirat: "Addja meg a kartya
szamat!" Es a kutkezelo szepen a kezeben tartott kartyarol bepotyogte a kartya 
szamat, amit a gep meg jol elfogadott.

A dolog valoszinuleg nem programhiba, hanem egy nagyon egyszeru oka lehet.

Gondoljuk at, hogyan veszunk benzint:
Az ember betolti a tankba a kello mennyisegu materiat, majd odaballag a
penztarhoz, ahol esetleg kiderul, hogy kozben a kartyajanak magnescsikja
megserult (mert pl lement a metroba). A benzin a tankban, kivenni eleg
maceras, az urge johiszemu, hisz nem lopott a zsuga (az egy masik eset, ott
valoszinuleg rendor a moka vege), szeretne is fizetni, de kp nincs nala,
nem azert kartyazik. Ezt a helyzetet feloldando lehet a kod a programban,
ami a beolavso megkerulesevel is lehetove teszi az adatok bevitelet. Raadasul
aki mar latott ilyen beolvasot, az tudja, hogy az a billentyuzet es a gep
koze van bedugva, magyaran a masinanak teljesen mindegy, hogy a szukseges
karaktersorozatot a magnescsik leolvasasaval, vagy billentyuk utogetesevel
vittuk be, ugyan-oda erkezik. Az aruhazakban azert szuksegtelen ez a lehetoseg 
(illetve lehet, hogy megvan, csak nem hasznaljak), mert ott az arut addig nem
viszik ki, amig nem fizettek. Tehat ha serult a kartya, legfeljebb vissza jon
a kedves vevo kicsit kesobb, kp-vel.

Ebbol szamomra ket dolog lett vilagos:
- nem mindig kell a kartya ahhoz, hogy POS terminalnal vasaroljanak vele, neha 
eleg a szama, esetleg a tulaj neve. Sajna a PIN-t nem kerik ezek a beolvasok
- nem szabad kartyaval vasarolni benzinkutnal, mert ott ilyen lehetosegek
fennallnak. Eleg a kutasnak a kinyomtatott szamlarol leolvasni az adatokat (ha 
nem hagyod ott, masolat akkor is van).

Masutt nem tudom mi a helyzet, esetleg uzletekben dolgozo penztarosok tudnanak 
errol valamit mondani.

--
Sziaszok                                        SaGa
  , 
+ - Re: Kesik a Fold ? (mind) VÁLASZ  Feladó: (cikkei)

In article >,   wri
tes:
>Mit tudtok arrol, hogy jun. 30-an a Fold lassulasa miatt 1 egesz masodperccel
>visszaallitjak a greenichi idot ? Talan csak kacsa az egesz, vagy komoly a

A DCF-77 idoradio jeleben van egy kulon bit, amit a szokomasodperceket megelozo
egy oraban 1-be allitanak. Vedd a jeleket 30-an, es ellenorizd! :-)

Gabor
+ - Re: Urhajozasi vilagnap (mind) VÁLASZ  Feladó: (cikkei)

In article >,   (Meszaros Laszlo (Bu
dapestrol)) writes:
>Aprilis 11 vagy 12, amikor Jurij Gagarin ornagy masfel ora alatt
>megkerulte a Foldet. Azert keverem, mert a masik Jozsef Attila
>szuletesnapja, a kolteszet napja. Udv/Laci

A ketto egybeesik. (Csak most mar en se tudom melyik. :-)
Raadasul 1981-ben napra pontosan 20 evvel Gagarin utan inditottak
a Columbia urrepulogepet. Gondolom volt benne egy kis propaganda is.

Gabor
+ - Re: loves (mind) VÁLASZ  Feladó: (cikkei)

In article >,   writes:

>5.) Sohase lojel le valakit hatulrol. Ha megis megtetted, akkor lojel
>bele elolrol is a hullaba.

Es hogy magyarazzam meg a ket lukat?
Hasba lottem, mire megfordult es el akart szaladni?

Gabor
+ - Az uj extraszuperultra etwas (mind) VÁLASZ  Feladó: (cikkei)

Nem karcol
A perem alatt is elpusztitja a bakteriumokat
Vedoreteget kepez a fogakon
Eltavolitja a vizkovet
Ude lehelletet biztosit egesz nap
Csillogova teszi a hajat
Telitetlen zsirsavakban gazdag
Cseppmentesen szarad
Hatekonyan gatolja az izzadast
Szarazon tartja a baba boret
Kellemes az illata
Lagyitja a vizet
Megnoveli a motor elettartamat
Kimeli a szineket
Taszitja a port
Lagy tapintasuva teszi a textiliat
Megszunteti a pattanasokat
Feherit
Tapanyagokban gazdag
Ved a korroziotol
Hidratal
Recept nelkul kaphato
Tisztitja a legutakat
Fajdalomcsokkento hatasu
Sok benne a C vitamin
A macskak odavannak erte
Kisimitja a szem koruli rancokat
Oriasi zsiroldo hatasu
A hagyomanyos etwasnal masfelszer, a szokvanyosnal 17 szazalekkal,
  a normalisnal hatarozottabban, a hetkoznapinal pedig sokkal szebb,
  jobb, fenyesebb.
+ - Hozzaszolas (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Egy - ket dologra reagalnek...
1./ 2449. A Palra vonatkozo idezet nem az Apcsel. 9-ben, hanem az
 Apcsel 16-ban talalhato /annak eleje/. Bar valo igaz, Pallal a 8.
 ill. 9. resztol foglalkozik bovebben a Biblia.
2./ . Tippek a bunozes megallitasara:
 1./ neveles
 2./ KOVETKEZETES es szigoru buntetes /ezt most itt nem reszleteznem,
  lenyeg: el kell erni, hogy az illeto maga kivanja a halalat.
  Alapmu: A 290-es Auschwitzi fogoly c. konyv./ KIVETELEZES NELKUL.
3./ 2450. Igaza van Gusztinak, barmely bankot valaszd csak ne az
 OTP-t; mert visszael monopol helyzetevel! En is megjartam velunk,
 megszuntettem a szerzodesem. Javaslom a Postabankot, barki barmit
 mond is. Ha kulfoldon vagy akkor olyan bankot keress ott kint,
 amelynek itthon is van fiokja, s az o hitelkartyaibol valassz!
4./ Remelem 2002-ben nem nyer megint ilyen folennyel a MATAV!
 OVAKODJ A MONOPOLIUMOKTOL!!! Mert eleg csak arra gondolni, mit
 kavarnak mar most a telefonokkal ill. az Internet hozzaferesekkel.
 Egyebkent, mivel van nekem is Matav-os ismerosom, tudom, hogy semmi
 sem titkos ott naluk /Lasd multkori vita a jelszokkal/.
5./ 2451. Viktornak. Ami lanyod intezeti elhelyezeset illeti egyreszt
 megertelek, hogy felted; de felo ez a fajta zardai neveles sem fog
 elonyere valni. Hadd kerdezzem meg, nem olvastad te tul sokat Szabo
 Magda: Abigel c. konyvet. Ott egyebkent eleg kontrasztos az, hogy
 hova is vezethet egy ilyen neveles. En inkabb a megelozo neveles
 /beszelgetesek/ hive vagyok. Szerintem ez is eleg.
6./ Gusztinak. Mint leendo tanar ajanlom figyelmedbe a kovetkezoket:
 A diak tegezni is tud tisztelettel es magazni is utalattal!
 A megfelelo tekintely kialakitasa tehat nem megy egyik percrol a
 masikra, de megoldhato. Nekem sok gondom meg nem volt ezzel, igaz a
 csoporttarsnoimnek joval tobb. Ebben is fo dolog a kovetkezetesseg;
 azaz nem kedelyallapot szerint, hanem higgadt fejjel donteni, s tudni
 indokolni is, hogy mikor es miert teszed azt, amit csinalsz
 /buntetes jutalmazas/. Szerintem hosszu tavon bejon.
 Ja es a gyerek serto megjegyzeseit NE TURD! Nem a veres ill. az egyes
 a legnagyobb buntetes. Nem akarok most itt konyvcimeket ajanlani,
 mert felo szadistanak tartanal, de a buntetesnek is vannak
 fortelyai. S van amikor a max. 1 joliranyzott pofon a legjobb. En
 ugyanis nem emlekszem olyan esetre, hogy egy jokor adott pofonbol
 baj lett volna. /Azt altalaban el sem mertek otthon mondani./ Persze
 ez nem azt jelenti, hogy akkor egy pofon cimen olyant kap a gyerek,
 hogy betorik a feje. /Mert erre is volt mar pelda./
7./ 2452. Minden KOPASZNAK. /Sertodes eseten leveleket magan cimre/
 Hiaba ma mar a katonasag sem az igazi. A legalapvetobb ismereteket
 sem rogzitik. Igy nem csoda, hogy laktanyakbol, loszerraktarakbol
 tunnek el fegyverek. Ez az en idomben nem igen fordult elo, mert
 lehet, hogy akasztottak volna erte. Szoval en 1985-ben Bajan voltam
 katona, mint elofelvetelis! A Vaskutiban, ez az a hely ahol
 rajparancsnokkepzo mukodik. Itt kepzik ki az 'elittet' Lenti, Atad,
 Marcali, Kaposvar szamara. Az akkori kemenysegrol annyit, hogy az ott
 levo Atadiakkal beszelgettem, es sirva mondtak, hogy Atadon nem
 bantak igy veluk. S akkor most a minimalis felvilagositas, hatha meg
 egyszer jol jon: 1./ Az AMD 7,62-es lovedeke a vasuti sint is atlovi
 hosszaban! legalabbis nekunk meg igy tanitottak.
 2./ Orsegben az elso lovest a levegobe, a masodikat 8-as /nem 3-as/
 iranyzekkal labra /azaz fejre/ kellett leadni; nehogy utolag
 kideruljon nem jartal el szabalyszeruen.
 Az elso lovest sajnos nem lehetett kisporolni, mert a lopornyom igen
 is kimutathato! De ezt nem kellett leadni, ha a dolog ugy kezdodott,
 hogy ratamadtak az orre /pl. ralottek, s o csak viszonozta a tuzet/.
 Annak idejen mi ezt ugy hidaltuk at, hogy 'veletlenul' megakadt
 tuzelesvaltora hivatkozva, csak egyet valtottunk a ketto helyett.
 Azaz az egyes lovesek helyett sorozatot lottunk, kaszalva, igy az
 elso melle ment /tehat volt figyelmeztetes/; csak sajnos varakozas
 nelkul jott a tobbi, de ezt utolag mar meg lehetett magyarazni.
8./ Szeretnem megtudni mennyit er most egy 1947-es ezust 5 Ft-os.
 Ugyanis ha jo, ara van /mint a papirpenzeknek/ lehet, hogy ezt is
 eladom. Valaszokat magan cimre kerek.
9./ VPOP. Nalunk a JPTE-n nem minden gep Phare ill. egyeb
 tamogatasbol lett veve. van amit teljesen kifizettunk, itt veve az
 orszagban /Vam, AFA, stb.../. Ugyebar akkor ezekken lehetnek sex
 kepek?  S ha ilyen gep a szerver, akkor ez root-olhat barhova /lehet
 E-mail-ezni, stb.../. Tovabbi problema, hogy az E-mail ill. az IRC
 stb... eszkoze lehet a tudomanyos munkanak is /ha pl. egy USA-ban
 levo ismerosomtol kerek szakmai tanacsot/, ily modon tehat ehhez
 /E-mail betiltasa/ a leveleimet is el kene olvasni; de az mar a
 szemelyiseg jogaim megsertese lenne! Stb... Roviden: ez megint egy
 olyan torveny, ami annak a mondasnak az igazsagat peldazza; van
 akinek az Isten hivatalt adott, van akinek pedig eszt.

                                                                Vadasz
+ - Re: Vakuumenergia (mind) VÁLASZ  Feladó: (cikkei)

Meszaros Laszlo (Budapestrol) wrote:
> 
> Kedves Szucsi,
> 
> Ahol olajlobbit emlegetnek, ott kacsa van elasva. Akarmennyi vakuum-
> energiank is lesz, a vilagon futo parszaz millio auto egyelore joreszt
> benzinnel jar, a muanyagokat is koolaj alapanyagbol gyartjuk stb.
> 
> A vakuumenergiarol mar volt nehany melyenszanto szinvonalu vita (oregebb
> TIPPelok meg emlekezhetnek Aranymuves Szerkeszto baratnenkra...) Ha nem
> akarsz osszeveszni a baratoddal, akkor ajanld neki a Egely Fo"konta'r mu-
> veit, es igerd meg, hogy az elso mukodo, vakuumenergiaval hajtott autobol
> vasarolsz tole. De penzt elore ne adj.
> 
> Udv/Laci

Hali !
Arthur C. Clarke vileminye a CF-rul:
http://www.enews.com/magazines/discover/magtxt/9705-7.html
L.K.
+ - Mi a kulonbseg... (mind) VÁLASZ  Feladó: (cikkei)

a garazs es a gepkocsitarolo kozott?
- Igaz, hogy a garazsban KELL lennie szereloaknanak, kulonben
kepkocsitarolonak szamit?
- Tarsashaz eseteben a gepkocsitarolo (a fenti feltetelezes szerinti)
hogy szamit bele a tulajdoni aranyokba? Magyarul, ha 3 lako van egy
hazban - egyforma lakassal - es az egyiknek van egy 30 nm-es
gepkocsitaroloja, akkor az ugy szamit -e, mintha 30 nm-rel nagyobb lenne
a lakasa?
- Mennyibe kerul manapsag a XII. keruletben egy kb 25nm-es futes, viz,
villannyal ellatott gepkocsitarolo?		

Ha ezek kozul a ravasz kerdesek kozul akar egyre is tudod a valaszt,
akkor legyszives ird meg nekem!Koszonom szepen.	

Guriga
+ - maganvelemeny Toth Andras kerdoivevel kapcsolatban (mind) VÁLASZ  Feladó: (cikkei)

Kedves Andras!

Izlesekrol, szemelyes vonzalmakrol nem lehet vitatkozni, meg a
megszokas is nagy ur. Megis, hadd fogalmazzak itt meg egy, a
Tiedetol kisse eltero velemenyt a "news versus levelezolista"
temaban. Miert is szeretem jobban a HIX-et a hagyomanyos
formaban olvasni? A technikai problemakat nem ecsetelem (a news.iif.hu
gyakran nem erheto el, a news.sztaki.hu-ra meg nem tudok irni,
azon meg a TUDOMANY sincs rajta, nem is szolva WEBMESTER-rol).
A levelezolistara valo feliratkozas masfajta hozzaallast jelent.
Jelenti azt, hogy teged erdekel a tema, azt, hogy naponta
legalabb vegigfutod az egeszet, ha meg nincs ra idod, akkor lemondod
(aztan legfeljebb utananezel az archivumban); igen, ez egyfajta
elkotelezettseg. A news meg igen konnyen valtja ki a korunkra oly
jellemzo feluletes magatartast ("sziasztok, most rohanok, de meg gyorsan
valaszolok nehany kerdesre...igen, ijet en is olvastam
valahol....sziasztok...."). (A HIX-re is legtobbszor a news-on keresztul
megy a SPAM!)

Masik, igen fontos: a news-nak (es az un. bounce tipusu, azaz egyedi
levelekbol allo listaknak) van egy sajatos rendszere*, ami egyszeruen
olvashatova tenne oket, ha mindenki betartana az esszeru szabalyokat.
(*Ezt nevezi Toth Andras Thread-szeru megjelenitesnek.) Vagyis:

1. Egy irasban csak egy temaval foglalkozz, illetve egy levelre valaszolj.

2. Irasod cime fedje a mondanivalot (pl. deruljon ki, hogy kersz vagy
   kinalsz valamit, s foleg, hogy mit!). Rossz cimek: help, keres, kerdes,
   sziasztok, hello!, -, ???, stb.

3. Ha temat valtasz, vagy uj temat is vetsz fel, ez deruljon ki a cimbol is
   (pl. Zoldseg - Re: Zoldseg - Gyumolcs (Zoldseg volt)). Ha egy szerteagazo
temakor egy agaval foglalkozol, zarojelben jelezd ezt (pl. Re: Zoldseg
   (sargarepa)).

Tapasztalatom szerint eme esszeru szablyokat nagyon kevesen tartjak be,
es a legtobb hircsoporton az irasok tobbsegenek a cimebol nem lehet
a tartalomra kovetkeztetni! A HIX-en ez meg inkabb igy van az oszver-jelleg
miatt (Re: *** TIPP *** #9999). Ennel sokkal jobb omlesztve olvasni a
leveleket, mert a sok PageDown kozott a cikkek tartalmara is tudok egy
pillantast vetni.  

Meg egy szempont: a news-cikkeket igen nehez archivalni (kulon-kulon
kell kimenteni az erdekes irasokat).

Feri

UI: Felreertes ne essek: en is nagyon orulok annak, hogy a HIX
    a hircsoportok kozott IS olvashato. Nehany hircsoportot
    meg magam is olvasok.
+ - Karaoke szoftvert keresek (mind) VÁLASZ  Feladó: (cikkei)

Kedves Olvasok,

jo minosegu karaoke szoftvert keresek. Ha valakinek van, kerem ossza
meg velem. Ha penzbe kerult, egy reszet atvallalhatom. Share- es freeware
nem nagyon erdekel.

Koszonettel es udvozlettel: Szucsi
+ - Kapcsolatom a Postabankkal... (mind) VÁLASZ  Feladó: (cikkei)

T. Nagy Tibor, es a tobbiek !

Kenytelen vagyok ismet irni, pedig nem akartam.

Tibor ! 
Olvasd vegig Fabian Gabor levelet, csak az OTP-rol beszel. Ebbol logikusan 
kovetkeztettem, hogy OTP-s kartyakrol irt. Nem akartam Gabor lelkivilagaba 
belegazolni, csak kivancsi voltam. Erdekes modon o" meg nem jelezte, hogy a 
levelem zavarna. Miert jutott neked eszedbe ?

A masik dolog, amit felvetettel, az a Postabankkal valo kapcsolatom :

A tipp-be, s barki reszere irt leveleim maganjelleguek, a bankrol hivatalos 
formaban nem nyilatkozok. Az mar mas kerdes, hogy az altalam leirt dolgok a 
valosagnak megfelelnek, de nem irhatok valotlant. Nekem semmi hasznom nem 
szarmazik belole, hogy valaszolok a ti kerdeseitekre, nem fogok erte 
fizeteselemest kapni. Nem kaptam megbizast a feletteseimtol, hogy 
maganlevelnek alcazott uzleti hirdetesekkel arasszam el az internetet. Csupan 
ilyen a beallitottsagom, hogy segitsek masokon. Jolesik, ha valaki emberileg 
elismer, mert valaszoltam a kerdeseire. Hidd el vannak ilyenek is. Ha ezt nem 
tudod ertekelni, nagyon sajnalom. 

A reklamozassal kapcsolatban csak annyit jegyeznek meg, hogy velemenyem szerint
 
akarki is lenne a helyemben, nem cselekedne maskepp. Mindenki a sajat ceget 
reszesiti elonyben. Ha elado lennel, elkuldened a vevot egy masik boltba, mert 
ott olcsobb az altala megvasarolando termek ?

Egyebkent nem utalom az OTP-t, s nem vetem meg, hiszen nagyobb nalunk. De az 
OTP szamunkra a "konkurencia". Az "A" hitel meg egy nagy atveres ( sajat 
velemeny! )

Ha a kedves Tippelok es Jozsi ugy gondolja, hogy a leveleim kimondottan uzleti 
jelleguek, tartalmilag hasznavehetetlenek,  s bemocskolja a Tippet, akkor egy 
szavukba kerul, s csak passziv tagja leszek. 

Ui.: Kivancsi lennek arra, hogy ki ert veled egyet ? Egyebkent vehetem 
ragalmazasnak is a leveledet, s annak is veszem. Maskor gondold at, hogy mit 
irsz. 


Udv : Tuli Gyula

Postabank Rt. 1013. Bp, Pauler u. 11.
Tel.:202-6975,202-6965/2522,202-6974/2522
+ - Bt es otvenezer (mind) VÁLASZ  Feladó: (cikkei)

Biztos, hogy otvenezer a minimum? En ugy tudtam eddig, hogy nincs
minimum megallapitva. Sot, volt olyan GK hatarozat, miszerint a cegbirosag
nem tagadhatja meg a bejegyzest arra hivatkozva, hogy az alaptoke nem
elegendo...

           Udv
                        Nagy Laszlo
+ - Re: *beton*vakolat* #2454 - I (mind) VÁLASZ  Feladó: (cikkei)

 [Hungary]:
> A meresnel 10 - 20 %-os hiba boven a turesen belul van, de ha lehet akkor
> inkabb az erosebb (cementesebb), zsirosabb (meszesebb) fele legyen a hiba.
Ez igaz, de torekedni kell a lehetoleg legoptimalisabb aranyra...

> Soder / cement terfogat arany = 6/1 -tol 3/1 -ig valtozhat. Eloszor szarazon
> kell osszekeverni, utana kell ovatosan nedvesiteni.
Igen, ez talan igy van a nagykonyvben megirva, de ha nem szeretned a cement
jo reszet a levegobe juttatni a szarazkeveressel (emiatt egyreszt megfulladsz
a cementportol, masreszt (ami sokkal fontosabb) foloslegesen pazarlod a nem epp
kornyezetbarat cementet es igy szennyezed a kornyezeted), akkor en inkabb azt
ajanlanam, hogy:
# betonozas eseten: cca. a szukseges viz felet ontsd az ures keverobe, majd eb-
  be tegy nehany lapat sodert, ezutan a szukeseges cement teljes mennyiseget.
  Ezzel egy egyenletes cementtejet kapsz, amelybe azutan szepen "aprankent"
  (megvarva mindig a leheto legegyenletesebb kevereket) adagolod egy-ket lapa-
  tonkent az "anyagot" (sodert :).
# vakolohabarcs eseten: szinten a szukseges viz felet toltsd a keverobe, majd
  abba a szukseges meszet egy-ket lapat homokkal izesitve. Ezutan hagyd a me-
  szet szepen feloldodni, rovidesen finom, homogen mesztejet kapsz, amihez mar
  adagolhatod a szukseges cementet, vagy elozoleg (pl. vodorben) elkeszitett
  cementtejet. Ha mar a cement(tej) es a mesztej megfeleloen homogen tejje
  egyesult, mehet aprankent (lapatonkent) a homok (ahogyan a betonnal a soder).
Igy szinte egy gramm cementet sem pazarolsz es a szennyezest is a minimalisra
csokkentheted.
A szarazkeverest mar csak azert sem tartom jonak (es a tapasztalatok is ezt
mutattak), mert a cement (a kotoanyag) feloldva, cementtejkent sokkal egyenle-
tesebben oszlik el a betonban, habarcsban. Mivel a cementszukseglet igen nehe-
zen "loheto be" es sok korulmeny fuggvenye, az utolagos cementadagolas is ce-
menttejkent javasolt, kulonben fennall a cementcsomok keletkezese, ami nemhogy
novelne, hanem meg gyengiti is a kotest, a beton/habarcs szilardsagat.

> A viz mennyisegerol nem irok, mert aki meg nem latta, hogy milyennek kell
> lennie az ne betonozzon !
Fontos, hogy ha a keverobol kiontod pl. vodorbe, akkor a leulo kavics/homok te-
tejen rovid idon belul ne jelenjen meg a viz, ha megis, az mar tulsagosan hig,
betonnal elfolyhat a cement, habarcsnal lecsuszik a falrol, ill. lemegy a nap,
vagy talan ujra felkel, mire megszikkad.
A betont erdemes szinte foldnedves allapotban teriteni es a simitas erdekeben
egy vekonyabb, higabb reteget teriteni ra, amely felulete a simitast kovetoen
mar megfeleloen sima, egyenletes lesz (alapnal termeszetesen ez folosleges).

> Az sem mindegy, hogy a homokra epp most esik ra a ho vagy porzik.
Igy van!... :)
A szukseges vizmennyiseg elsosorban a mar meglevo tapasztalatok hatarozzak meg,
ennek hianyaban a szakirodalom (de ott ilyesmirol is szo van es figyelmeztet-
nek)

> Falazashoz: homok/mesz/cement  10/2/1
Mondjuk itt erdemes probalkoznod pl. egy probafalazast kesziteni, mielott fel-
huzod a hazat... :) nehogy ugy jarj mint en evvel az arannyal, es most porlik
a habarcs a teglak kozul (valoszinuleg ebbe a silany minosegu mesz is kozrejat-
szott). Elvileg a cement szerepe a kotesgyorsitas, szilardsag novelese a fala-
zatban, a mesze pedig kotoanyag es a falazat rugalmassagat biztositja. Tehat
mindkettonek nagyon fontos szerepe van, egyik sem elhanyagolando. De a tulzasok
is artalmasak, a tul sok cement ridegge, torekennye teszik a falazatot, vakola-
tot (repedesek), a tul sok mesz (tul keves homokot jelent) miatt pedig tulsago-
san porlik.
A probafalazassal varj egy-ket napig, majd probalj egy-ket teglat pl. kezzel
leemelni rola. Ha jo volt a habarcs, akkor csak nagy kuzdelem aran fog sikerul-
ni a teszt, ha nem, akkor konnyen engedi magat.

> Csupasz falra a vakolas kezdetekor  10/1/4
Meg az alapvakolat (a vakolat husa, a nagyobbik resze) felhordasa elott a 
csupasz falfeluletet (es nem a komuves asszisztenst, esetleg az asszonykat :)
erdemes cementtejjel befrocskolni, beteriteni. Ez segiti a vakolat jobb tapada-
sat a falfeluletre, ennek hianyaban az alapvakolat felhordasakor a habarcsnak
nem lesz tartasa, le fog csuszni a falrol kevessel a felcsapast kovetoen.
A cementtej aranya cca. az alapvakolate, de folyekony allagura fel kell higi-
tani.
Vakolando betonfeluletet, betonfodemet mindenkeppen frocskold be az alapvakolat
 elott cementtejjel!!!... Kulonben le fog valni a vakolat.
Az alapvakolat felhordasat csak a befrocskolest koveto nehany nap mulva erdemes
megkezdeni, mikor a befrocskolt falfelulet mar megszikkadt, meg jobb, ha tel-
jesen kiszaradt.

> Simito vakolashoz : 10/4/1  Ha kulterben vakolsz akkor a cement lehet a
> mesz fele is. Itt fontos, hogy tobb legyen a mesz mint a cement, mert akkor
> kon nyebben simithato.
Ha nehezen simithato a habarcs (erdes feluletu, szivacsos benyomast kelt a 
felhordott anyag), akkor mesztejet kell feljavitani.
Ha viszont nagyon sarga arnyalatu (olyan benyomast kelt, mint a nedves homok),
akkor cementtejjel erdemes korrigalni.

Folyt. kov. holnap...

- yoghurt -:)
+ - [HIRDETES] Konyvet keresek!!! (mind) VÁLASZ  Feladó: (cikkei)

Haliho Mindenkinek!

	Keresem megvetelre a Gyuruk Ura cimu konyvet. A 2. es 3. resz mar megvan,
de ha valaki egyben akarja eladni mind a harmat, akkor is erdekelne a dolog. A 
valaszokat
a sajat e-mail cimemre varom.

Udv: Osvath Mark

mailto:
+ - sakk (mind) VÁLASZ  Feladó: (cikkei)

Aki akar velem sakkozni az kuldjon e-mailt.
+ - likviditas (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok !

A subjectbeli dologban keresek segitseget vagy
valamilyen net-es vagy nem netes jo segitseget !

Elore is koszi valaszokat 
    ha lehet a sajat e-mail cimemre

                    Krisztian
+ - Koszonet (mind) VÁLASZ  Feladó: (cikkei)

Koszonet mindenkinek, aki segitett Szun-Cu konyvenek megszerzeseben.
Ettol felbatorodtam. 
Nincs esetleg valaki, aki tud segiteni Machiavelli: 
A herceg c. konyvehez valo hozzajutasomban :-)

Nem lesz jo TIPP: "huzd ki Sztalin feje alol!" :-))
+ - Re: bankkartya+hamisitas (mind) VÁLASZ  Feladó: (cikkei)

 wrote:
> 
>  wrote:
> : >szamat mindenkeppen elo lehet allitani, es sok helyen lehet on-line is
>                                                                ^^^^^^^
> : >fizetni.(: Hamis szemelyazonossagot hozza meg nem olyan nehez krealni.
> 
> : Bocs, de tudtommal a vasarlashoz minimum kartya kell, tehat csak a szam
> : nem eleg. Raadasul a legtobb helyen a kartyat "lehuzzak", tehat a magnes-
> : csiknak is stimmelnie kell! Ezek nelkul csak egy szerzodott elado tudna
> : vasarlast produkalni. Lehet, hogy igy tortent velem is?
> 
>  Epp azt irjak itt elotted, hogy online! Ami pl jelenthet internetet is, ahol
>  eleg ha tudod a kartya szamat + lejarati idejet es maris rendelhetsz szinte
>  barmit...tehat ha egy ovatlan pillanatban meglesed valaki kartyajat es eleg
>  ugyes vagy hogy megjegyezz 16 + 4 szamot akkor tied a vilag...(ez most nem
>  tipp akart lenni)
> 
>  Christo

Teljesen igy van! En mar vasaroltam az USA-bol, es semmi mas nem kellett
hozza, mint a 16 szam (kartyasorszama), a lejarati ido(4 szam), a nevem,
es a kibocsato bank neve. Ja, es alairas.
Tudja valaki, hogy hogyan is megy ez? Ugyanis a bank egy honappal a
megrendeles utan 'hopp' leemelte az osszeget a szamlarol, es indult az
izgalom: bebuktam-e a penzt, es hiaba varok, vagy tenyleg megjon-e a
csomag. Vegulis 2 hetre ra megjott a csomag korrekten, es minden rendben
volt.
A kerdesek:
1., Mennyire biztonsagos a bankkartya, ha csak a rajta lathato adatok
alapjan (elvileg) penz emeleto le a szamlarol?
2., Mi van akkor, ha pl. a csomag nem jon meg, a penzt meg levettek.
Hova a francba lehetne ilyenkor fordulni? Ki tudja visszakovetni, hogy
meddig jott el a csomag, es egyeltelen el lett-e kuldve? Van-e
ellenorizheto kozponti nyilvantatasa annak, hogy melyik cegek jogosultak
a kartya-szamla hasznalatara (mint pl. az uzletek)?

Erdekes kerdesek, jo lenne, ha erre valaki tenyleg pontos valaszt tudna.

Norbert
+ - Re: Mi a pontos ido Magyarorszagon? (mind) VÁLASZ  Feladó: (cikkei)

 wrote:
> 
> Sziasztok Tippelok,
> 
> A kovetkezo dolog izgatja a fantaziamat. Honnan veszi a Matav a
> pontosido
> szinkront (080), illetve a Magyar TV, a teletext stb. Melyik tekintheto
> pontosnak,
> mert pillanatnyilag ugy all a helyzet, hogy ezek kozot kb 5-12 masodperc
> elteres van, es ekkor meg a radiokat nem is emlitem. Tovabba mi a
> helyzet
> az interneten fellelheto idoszinkronizalo helyekkel, azok talan igazi
> pontos idot
> szolgaltatnak?
> Persze nem hinnem, hogy ennek a kerdesnek az ismerete alapvetoen
> megvaltoztatna eletem hatralevo reszet, de megis ez egy elvi kerdes.
> 
> koszi
> 
> CUL8R
> mailto:

Hello!

En speciel a DCF77 radiovezerlesu oranak hiszek leginkabb. Ezt
Frankfurtbol sugarozzak, egesz Europaban veheto es allitolag a GMT-vel
azonosan szinkronban van. Egy atomora jelet sugarozzak	es egeszen olcso
oraval veheto (kb. 2000 ft-tol, Conrad Electronic, Bp. Jokai ter).
Automatikus beallasu (a teljes datumot, idot kodoljak, a masodprc
szinkronjellel egyutt), igy nem is kell beallitani, csak beleteszed az
elemet, es varsz kb. 2 percet. Egyszercsak megjelenik a pontos ido, es
jar... nyari/teli idoszamitas automatikusan beall. Ennel pontosabb
szerintem otthonra nem kell. Hogy aztan a Matav es a tobbiek mit
hasznalnak, azt a fene tudja.
De egy jotanacs: ha GSM telefonnal este 7:59-kor elkezdesz beszelgetni,
es beszelsz 1 orat, akkor bizony jol megszivod, mert hiaba telik az ido
beszelgetes kozben, neked az Elvonal vagy a Kapcsolat bizony 1 ora
CSUCSIDOS beszelgetest fog szamlazni. Mert akkor kezdted el! Hat hiaba,
a mai digitalis vilagban elegge nagy technikai problema lehet
beszelgetes kozben valtani a tarifa-savot, es kulturaltan szamlazni a
fogyasztonak... :( Hadd szivjon, azert van... 

Norbert
+ - Re:Feltalalo (mind) VÁLASZ  Feladó: (cikkei)

> Ki a legsikeresebb feltalalo a vilagon,kinek van a legtobb
> bejelentett szabadalma?
Sziasztok  !
Az en tudomasom szerint Thomas Alva Edison
Udv. Tibi
+ - Re: BT vagy vallakozo (mind) VÁLASZ  Feladó: (cikkei)

>	  A vallakozoknak pedig csak (ha jol tudom ) egy tevekenysegi
>	  kort lehet megjelolni.
Akkor most idezek a sajat vallalkozoi igazolvanyombol. ( Az ekezetektol 
eltekintve az idezet pontos, a helyesirasert ne engem szidjatok !)
"Vallalkozoi tevekenyseg, tevekenysegi kor: softverkeszites, 
szaktanacsadas, oktatas, szakerto, elektronikus es 
mech.berendezes tervezes,szakertes, szereles ugynok, uzletkoto, 
textil-ruhazati kis-es nagykereskedes vasarozokent, reklam tev, 
sajat eloall.bor forgalmazasa vasarozokent.
 ... kibovitette muszaki cikk kiskereskedelemmel vasarozokent.
 ... kibovitette kerteszeti es mezogazdasagi kisegito munkaval. "
Ez nem MOKA ! 
A "reklam tevekenyseget" mindenkinek ajanlom, mert nekem azt 
mondtak, hogy a _sajat_szorolapom_osztogatasa_ mar ennek 
szamit !
Udv Tibi
+ - Koszi a segitseget BT ugyben (mind) VÁLASZ  Feladó: (cikkei)

Koszonom mindenkinek aki segitett, nemileg
felhomalyosodnom a Bt -k teren. Ha ujabb
kerdeseim lennenek (lesznek) irok az illetekeseknek
(akik segitseget igertek...)

Szabolcs
+ - Leguan (hullo) ki mit tud :-) (mind) VÁLASZ  Feladó: (cikkei)

Hello!
ujra itt vagyok egy fura kerdessel :-)
Egyik ismerosom el akar adni egy zold leguant (hullo)
meg kicsi kb 40 cm -es annyit tudok rola, hogy novenyevo
es kb 5 ev alatt min.1meteres is lehet! Papirjai vannak
az allatnak, es gondolkozom egy ilyen allat vasarlasan...
Van valakinek bovebb tapasztalata ilyen ugyben, mennyire
kenyes, vagy hogyan (tudom tojassal:-)), mennyi idonkent
szaporodik....
-Az O instrukcioi tul szepen hangzik, hiszen el akarja adni
(bar tobb is van neki), erdekelne mas velemenye is!
Egyebbkent erre az egy darabra en meg nem repulok, ra
nekem meg meg kell emesztenem az otletet, akit erdekel
dobjon egy e-mailt es tovabbitom neki.
egyebbkent 25-28.000 ft-ra tartja...

Szoval varom a velemenyeteket.
udv: Szabolcs
_________________________________________________________________
		privat: 
 			---	---	---	---
kuponos vasarlasi lehetosegek tarhaza:	INTERKUPON© Reklamiroda	
http://www.interkupon.seldon.hu		tel/voice/fax: 308-9539
		H-1615 Budapest, Pf.247
> =========================================================
+ - Vallalkozo (mind) VÁLASZ  Feladó: (cikkei)

> A vallakozoknak pedig csak (ha jol tudom ) egy tevekenysegi
> kort lehet megjelolni. 

Nem jol tudod, a tevekenysegi korok szama nincs korlatozva. Jobb is a
bejelentkezeskor minel tobbet beirni, mert ki tudja, mit hoz a jovo... 

> A Bt (gazdasagi tarsasagkent) viszont a TANYA
> (tarsasagi nyeresegado) szerint adozik.

Hivatalos neven: TA (tarsasagi ado)

 Ed

U.I. Koszonom a multkori felvilagositast TB-ugyben!

 > | > | http://www.inf.bme.hu/~kedvard
     Vigyazat, Email-virusveszely! Minden level utan moss kezet!
+ - kishajo-vizsga (mind) VÁLASZ  Feladó: (cikkei)

> Tudja-e valaki, hogy ilyen jogsihoz hol kell jelentkezni vizsgara?
> ('A' vagy 'B' kat. vitorlas, es motoros kishajora.)

Nekem tavaly a kaposvari kozlekedesi felugyelet siofoki kirendeltsegeben
kellett. A papirokat szemelyesen kell elvinni (eljen a burokracia),
szerencsere valaki ugyis arra jart, es volt olyan rendes, hogy elvitte.

Megjegyzes: ma'r nincs A es B kategoria, egy vizsga van, ami magyar
belvizeken minden vitorlas kishajora ervenyes.

 Ed
+ - Spanyol szallodak (mind) VÁLASZ  Feladó: (cikkei)

HI emberek!
Csak egy kerdes:
Mit tudtok a spanyol *-os szallodakrol?
Milyenek,ellatas,stb.Vagy csak a nevukben szallodak?Erdemesebb inkabb 
apartmant kivenni ilyenkor?

Valaszitokat magan uton kuldjetek,ha lehet.De jo a TIPP-be is.

                         Berci
                       
                           ( ( (                   ))
                            ) ) )                 ((
                             ( ( (           ___o___)
                            '. ___ .'        |     |====O
                           '  (> <) '        |_____|
          -----------------ooO-(_)-Ooo-------------------
         |                    Berci                      | 
         |         E-mail:           |      
          -----------------ooO-----Ooo-------------------
+ - Elemek (mind) VÁLASZ  Feladó: (cikkei)

A kovetkezo kodokat deritettem ki elemeim szemrevetelezesevel:

Bebi = mono = LR20 = AM1 = D
Ceruza = mignon = LR6 = AM3 = AA
??? = micro = LR03 = AM4 = AAA

Nem tudom, ez utobbinak van-e elterjedt magyar neve. Goliatom nem volt
otthon...

A fentiek alkali elemekre vonatkoznak, cink-szen eseten a valtozas LRxx
helyett Rxx, illetve AMx helyett UMx. A hatul allo amerikai jeloles
ugyanaz, mert az csak a meretre vonatkozik, a technologiara nem.

 Ed
+ - Aktok (mind) VÁLASZ  Feladó: (cikkei)

Egy-ket eve megjelent egy fekete-feher album, amely addig mas teruletekrol
ismert holgyek aktkepeit es a veluk keszult interjukat tartalmazta. A
cime: No"i vonal. Nem elkepzelhetetlen, hogy az altalad keresett
szemelyisegekrol is van benne fejezet.

 Ed
+ - Kopirajt (mind) VÁLASZ  Feladó: (cikkei)

Viking irja, hogy a Svedorszagban a radioszerelok nem kapcsolhatjak be
csak ugy a keszulekeket, megmutatni, hogy mukodik. Ezen nagyon
csodalkoztam. Atneztem a magyar szerzoi jogi torvenyt, es abbol szerintem
leginkabb az alabbiak alkalmazhatok erre az esetre. (A szabad
felhasznalasrol szol resz egyik paragrafusa)

>>>>>>>>>>>>>>>>>>
Szjt. 21. paragr.
(3) A mu maganhasznalatra eloadhato, illetoleg lejatszhato, ha ez 
jovedelemszerzes vagy jovedelemfokozas celjat kozvetve sem szolgalja. 

Vhr. 18. paragr.
(1) Jovedelemfokozas celjat szolgalja a felhasznalas, ha alkalmas arra, 
hogy a felhasznalo uzem (uzlet, szorakozohely) vevokoret vagy latogatottsagat 
novelje, vagy pedig az uzlethelyiseget latogato vendegek szorakoztatasat 
szolgalja. Jovedelemszerzesnek minosul a belepodij szedese akkor is, ha 
egyeb elnevezes alatt (meghivomegvaltas, musormegvaltas, a szokasos 
osszeget meghalado ruhatari dij stb.) tortenik. Dijazasnak minosul a 
fellepessel kapcsolatban tenylegesen felmerult es indokolt koltsegeket 
meghalado terites is. 
<<<<<<<<<<<<<<<<<<

Szerintem az azert nem noveli a muszeresz latogatottsagat, hogy
megmutatja, mukodik a keszulek... 

Ennyire mas lenne a sved helyzet?

 Ed
+ - HIX WWW problema (mind) VÁLASZ  Feladó: (cikkei)

Sziokak!

Megvaltozott a HIX homepageja es nem lehet az archivumot leszedni.

most a hix.elte.hu mukodik.

Masik: eleg nagyok a HIX ujsagok.
Nincs egy olyan opcio, hogy ZIP-pelve le lehessen szedni bizonyos
ujsagokat?
pl. ha nekem a TIPP es a GURU utolso 10 szama kell, akkor azokat
osszezippeli es elkuldi.

Igy hatekonyabb lenne...

Jozsi


> -----------------------------------------------
"Stimpy, if I don't make it, save the brain!"
Ren Hoek (The Ren and Stimpy Show)
+ - IMAGINE (mind) VÁLASZ  Feladó: (cikkei)

Szia!

Az IMAGINE-nek megjelent egy leirasa magyarul kb. 2 eve az Aurum kiado gondozas
aban.
Ket kotetes, ha jol emlekszem.

Infot a www.aurum.hu-n vagy az  kerhetsz.

Lehet, hogy nem hu a vege, hanem .net

Udvozlettel:
         Takacs Istvan

+ - AMWAY (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Tavolba szakadt hazank fiaitol szeretnem megkerdezni, hogy
hallottak-e mar a fent megenekelt vallalatrol?

Es, ha igen, akkor milyen a kinti koznep erzulete a tema irant?

Kint meg mukodik, hogy:

Csirrrrrr, csirrrrrrr.

-Hallo, tessek?
-Szia, Jeno! Kepzeldelkezdetemegynagyszeruvallalkozast,
demostnemtudoknekedsemmitmondani. Talalkozzunkholnapdelutan
4-kor. Koszszia!

Udvozlettel:


         Takacs Istvan

+ - Re: buvarruha (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Valaki erdeklodott a buvarruhakrol.
Ha vasarlasi lehetoseg erdekel, akkor Pesten ket cimet tudok:

Scubapro
Kiraly u. xxxxx

Cressi-Sub
Honved u. xxxxx

Mivel ez itt nem a reklam helye ezert a pontos cimet vagy magan mail-ben,
vagy setalj egyet!

Udvozlettel:


         Takacs Istvan

+ - f-f nagyitas (mind) VÁLASZ  Feladó: (cikkei)

Tomi !
Fekete-feher fenykep nagyitasat ( kb. szines aron es 1-2 hetes
vallalsi idovel ) a Porst keszit.
Udvozlettel:  

     Erdei Ferenc 

+ - [HIRDETES] keresek: meg-nem-telekocsikat juniusra (mind) VÁLASZ  Feladó: (cikkei)

Juniusban Budapestrol fogom megcelozni Romat, Zurichet, ill. Genfet.  Ha
valaki ezekben az iranyokban jar (egy is eleg:), es vinne magaval, az
legyszi szoljon.  Az is erdekelne, ha van valaki, aki ezek kozott a 
varosok kozott jar.  Idopont nyitott. Vezetesbe nem tudok beszallni, a
koltsegekbe viszont igen.  Koszi!

:-D (Hargitta Eszter - http://cs.smith.edu/~hargitta)
+ - [HIRDETES] Egy kis jatek (mind) VÁLASZ  Feladó: (cikkei)

Szegasztok!

Akartok lottozni? Nanaaaa...
Nyugi, semmi ujdonsag de ilyen nincs meg egy! A masodik dij egy ures CD-ROM. Az
 
elso egy ... , nos nezzetek be ide: http://www.geocities.com/Yosemite/9787
(Ingyenes, sorsolas havonta.) 
Ez nem reklam, csupan keres: Noveljetek a szamlalot!

ps.
Tapirok kimeljenek.


Regards,
Jack



> ---------------------------------------------------------
Get Your *Web-Based* Free Email at http://www.hotmail.com
> ---------------------------------------------------------
+ - [HIRDETES] Ford Fiesta eladó (mind) VÁLASZ  Feladó: (cikkei)

>To: 
>From:  (Szajlai Gyula, Quelle Bt.)
>Subject: [HIRDETES] Ford Fiesta eladó
>
>Hali!
>
>        Van egy eladó Ford Fiestám 1.1i, katalizátorral, 50.000 km-el, 
szervízkönyvvel,
>metálzöld fényezéssel, elektromos ablakemelőkkel, központi zárral, riasztóval,
>levehető előlapu magnóval, napfénytetővel.
>
>        3.5 éves múlt. irányár: 950.000 Ft.
>
>        Érdeklődni: Vallent Konstantin
>                Napközben: 06/23/335-129
>                E-mail: 
>
>
>
>
>
>
>
>
>
+ - [HIRDETES] Elado 15"-os cSVGA digitalis monitor! (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Elado egy talan fel eves,  KDS markaju 15"-os,  szines SVGA monitor, 

- teljesen digitalis vezerlesu
- Non-Interlaced -  Low-Radiation /Ni, Lr/
- fel ev barati garancia szol ra :-) hacsak nem belevagod a baltat :)

Ara: 38.900 Ft

Erdeklodni inkabb telefonon: 1-893-789 vagy 06-30-219-684,17 ora utan.

                                     Bye:
                                             Dundy
                                             -----
Sysop from CAMEL BBS...
+ - [HIRDETES] Babay sitter-t keresunk (mind) VÁLASZ  Feladó: (cikkei)

Magyarul beszelo babaysittert keresunk keteves kisfiunk melle
Chicago-ba. Ha tudtok valaki erdeklodot, kerlek adjatok at az
uzenetet. En elerheto vagyok az  cimen, avagy a
219-631-5767-es telefonszamon. Ha nem vagyok itt (es tobbnyire szombat
es hetfo kozott nem), hagyjatok uzenetet, hogy hol erhetlek el. Lakast
biztositunk. laci
+ - [HIRDETES] 486DX100 gep elado (mind) VÁLASZ  Feladó: (cikkei)

Elado:
  R&M Baby haz
  AMD 486 DX4 100 Mhz
  SIS Alaplap (Integralt PCI vezerlo)
  16 Mb RAM
  Phoenix S3 868 Vision 1Mb Mpeg PCI (2Mb-ig bovitheto)
  SVGA 14" Monitor
  HD 540 Mb Quantum
  CDROM 2x SONY (IDE)
  FDD 5,25; 3,5
  Keyboard 101 g., PGA Mouse+Pad
Iranyar: 110.000 Ft.
  (Esetleg SB 16 Kompatibilis Hangkartyaval)
Erdeklodni:
  E-Mail,
  Hetvegen : (06) 28 / 385-657 Richter Tamas
+ - [HIRDETES] HIRDETES - Lakast keresek (mind) VÁLASZ  Feladó: (cikkei)

Kedves tippelok!

Budapesten garzont vagy lakast keresek metrovonal kozeleben, max. 
20.000,- Ft-ert.

A valaszokat a fenti e-mailre varom.

Koszi,

Aniko
+ - [HIRDETES] Ford Skorpio elado !!! (mind) VÁLASZ  Feladó: (cikkei)

Helo!

Van egy haver akinek a fent emlitett csoda lenne elado,
85 os evjarat, 2000i, GHIA tipusu, automata, a boron
kivul full extras, sotetkek reprezentativ megjelenest
bizosito auto, 
-szoval ez mind elado lenne egyben :-)
I.ar: 685.000 penz

erdelkodni: 0620 238-922
vagy irhatsz nekem is, atadom neki...
udv: Szabolcs
_________________________________________________________________
		privat: 
 			---	---	---	---
kuponos vasarlasi lehetosegek tarhaza:	INTERKUPON© Reklamiroda	
http://www.interkupon.seldon.hu		tel/voice/fax: 308-9539
		H-1615 Budapest, Pf.247
> =========================================================
+ - [HIRDETES] Rorur mire hasznosithato? -vagy elado... (mind) VÁLASZ  Feladó: (cikkei)

Hello Tippelok hada!

Segitsetek mar, mit lehet kezdeni egy 83 as evjaratu, muszakis,
de BENZINES Roburral. Fogyasztasa kb 16 L. Az allapota tukrozi
a korat, de tokeletesen megy (szaguld) 3.5 tonnaval terhelheto.
Szoval vagy eladnam, vagy elcserelnem, esetleg berbe is adnam....
-Ne haborodjatok fel nem uzleti vallakozast akarok inditani, csak
egy ideje epitkeztem, es olcsobb volt egy ilyet venni mint
mindent
kiszallittatni, viszont most mar felesleges, es valahogy
hasznositanam (azert a kotelezo...ketyeg ra).

Szoval akit erdekel valamilyen formaban irjon, megbeszeljuk...
cserekent szinte barmi szova johet (amit tudok valamire
hasznalni)
mert ez csak a haz elott foglalja a helyet.... -lehet
szemelykocsi,
szamitogep (vagy alkatresz), Tv, video....
Az erteke (ujsagban hirdetesekben) kb 80-120.000 is terjed (a 
benzinese) de megeggyezunk baratibb aron...

udv: Szabolcs
_________________________________________________________________
		privat: 
 			---	---	---	---
kuponos vasarlasi lehetosegek tarhaza:	INTERKUPON© Reklamiroda	
http://www.interkupon.seldon.hu		tel/voice/fax: 308-9539
		H-1615 Budapest, Pf.247
> =========================================================
+ - [HIRDETES] ALLAS a CEU-ban (mind) VÁLASZ  Feladó: (cikkei)

Forwarded message:
From csirmaz Thu Apr 17 15:34:55 1997
From: Laszlo Csirmaz <csirmaz>
Message-Id: >
Subject: ALLAS a CEU-ban
To: 
Date: Thu, 17 Apr 1997 15:34:30 +0200 (MET DST)
Cc: 
Content-Type: text
Content-Length: 553       

== ALLAS == ALLAS == ALLAS == ALLAS == ALLAS ==

A Kozepeuropai Egyetem Szamitogep techikusokat keres.

Feltetel: angol nyelvtudas, PC es printer hardware,
Windows, Novell szoftver ismeret.

Feladat: az egyetem mintegy 200 oktatoja/dolgozoja es
500 hallgatojanak segitsegnyujtas.

Kerunk: egy angol nyelvu oneletrajzot, valamint szemelyes
jelentkezest.

Cim: Balogh Emese, CEU Computer Department,
     Budapest, Nador u 9, 1051.
     Tel: 327-3056, Fax: 327-3062, 
     e-mail: 

> =========================================================
+ - [HIRDETES] 386dx40 elado (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok! Ha tudtok valakit akit erdekel egy elado 386-os, dobjatok meg egy
levellel! A gépben talalhato: 8M RAM, egy 420-as HDD vezerlovel es
portokkal, upgrade-elheto alaplap 386DX procival es egy VGA kartya. (esetleg
3.5"-os FDD) Cimem: 
                                                          Desi Imre
                                                            tanar
+ - [HIRDETES] Elado winyo es proci (mind) VÁLASZ  Feladó: (cikkei)

Szevasztok!

Elado egy 250es Conner winyo, bad sector nelkul!
Iranyar: 15000Ft.

Tovabba elado egy Enhanced AMD DX/4-100-as 486-os proci hutovel.
Iranyar: 4000Ft.

Ja! Meg valami: az alkatreszek Pesten vannak, nem Veszpremben...

Bye!
                \=/,         _-===-_-====-_-===-_-==========-_-====-_
                |  @___oo   (     Peter Komlosi, alias KP.           )_
      /\  /\   / (___,,,}_--=      Student of Computer Technology      )
     ) /^\) ^\/ _)        =__       University of Veszprem            )
     )   /^\/   _)          (_                _)
     )   _ /  / _)            -==-_____-=====-_____-=====-_____-===-

AGYKONTROLL ALLAT AUTO AZSIA BUDAPEST CODER DOSZ FELVIDEK FILM FILOZOFIA FORUM GURU HANG HIPHOP HIRDETES HIRMONDO HIXDVD HUDOM HUNGARY JATEK KEP KONYHA KONYV KORNYESZ KUKKER KULTURA LINUX MAGELLAN MAHAL MOBIL MOKA MOZAIK NARANCS NARANCS1 NY NYELV OTTHON OTTHONKA PARA RANDI REJTVENY SCM SPORT SZABAD SZALON TANC TIPP TUDOMANY UK UTAZAS UTLEVEL VITA WEBMESTER WINDOWS